Detailed explanation of the process of integrated circuit design

Integrated circuit design (English: Integrated circuit design), according to the scale of integration of current integrated circuits, may also be called VLSI design, and refers to a design flow targeting integrated circuits and VLSI circuits. Integrated circuit design is usually based on "modules" as a design unit. For example, for a multi-bit full adder, the secondary module is a one-bit adder, and the adder is composed of the next-level AND gate and NOT gate modules. The AND gate can be finally decomposed into lower abstractions. Class CMOS devices. Let us further understand the relevant knowledge of integrated circuit design .

IC design introduction

The flow of integrated circuit design is generally divided into software and hardware. The design is basically divided into two parts: chip hardware design and software co-design. Chip hardware design includes:

1. Functional design phase.

Application occasions for designers' products, such as functions, operating speed, interface specifications, ambient temperature, and power consumption, are set as the basis for future circuit design. It can further plan how the software module and hardware module should be divided, which functions should be integrated in the SOC, and which functions can be designed on the circuit board.

2. Design Description and Behavioral Verification

After the functional design is completed, the SOC can be divided into several functional modules based on the function and the IP core to be used to implement these functions can be determined. This phase has indirectly affected the internal structure of the SOC and the interaction between the modules, and the reliability of future products. After the module is determined, the design of each module can be implemented using a hardware description language such as VHDL or Verilog. Next, use the VHDL or Verilog circuit simulator to perform function simulation (or behavioral simulation) on the design.

Note that this functional simulation does not consider the actual delay of the circuit and does not give accurate results.

3. Logical synthesis

Once you have determined that the design description is correct, you can use the synthesizer to synthesize it. In the synthesis process, an appropriate logic cell library needs to be selected as a reference for synthesizing logic circuits. The writing style of the hardware language design description file is an important factor that determines the efficiency of the execution of the synthesis tool. In fact, the HDL syntax supported by the synthesis tool is limited, and some overly abstract syntaxes are only suitable as a simulation model for system evaluation and cannot be accepted by the synthesis tool.

Logical synthesis results in a gate-level netlist.

4. Gate-Level Netlist Verification

Gate-level functional verification is register transfer level verification. The main task is to confirm whether the integrated circuit meets the functional requirements. This work is generally done using gate-level verification tools. Note that this stage of the simulation needs to consider the gate delay.

5. Layout and routing

Layout refers to the rational arrangement of well-designed functional modules on the chip, planning their location. Wiring refers to the completion of the interconnection between the modules. Note that the wiring between the modules is usually long, so the resulting delay can seriously affect the performance of the SOC, especially above the 0.25 micron process. This phenomenon is even more pronounced. At present, this industry is still a vacancy in China. There are still relatively few universities that specialize in integrated circuit design and integration systems. The schools with better teachers are Shanghai Jiaotong University, Harbin Institute of Technology, Harbin University of Technology, Southeast University, and Xi'an Electronic Technology. University, University of Electronic Science and Technology, Fudan University, East China Normal University, etc. This area has gradually become saturated, and there is an increasing tendency to embark on the road to the software industry.

  

Integrated circuit design process

Circuit design

The circuit design is completed based on the circuit function.

2. Pre-simulation

Simulation of circuit functions, including power consumption, current, voltage, temperature, voltage swing, input and output characteristics, and other parameters.

3. Layout design

According to the designed circuit layout. Cadence software is generally used.

4. Post simulation

Simulate the layout you are drawing and compare it with the previous simulation. If you do not meet the requirements, you need to modify or redesign the layout.

5. Follow-up processing

Generate the GDSII file from the layout file and submit it to Foundry.

  

Integrated circuit design assistance and automation

Main article: Computer aided design and electronic design automation

Due to the complexity of integrated circuit systems, engineers often rely on electronic design automation tools for computer-aided design. Logic synthesis is the most significant embodiment of electronic design automation in digital integrated circuit design. In the past when designing small-scale, medium-scale integrated circuits, engineers designed digital integrated circuits to optimize logic functions through manual functions such as Karnaugh maps according to logic functions, and then determine which logic gate to use to implement the circuit. However, in the current design of ultra-large-scale integrated circuits and even larger and very large-scale integrated circuits, such a working method is not very realistic. Electronic design automation tools allow engineers to move from complex gate-level designs to functional designs, while the underlying conversions are done by automated tools. Engineers only need to know how to set up these tooling strategies. Hardware description language is an important basis for the automation of integrated circuit design. The development of electronic design automation is very rapid, and some academic forums such as design automation conferences have now been established to discuss the development of the industry on a regular basis.

Completing the entire integrated circuit design often involves the use of multiple electronic design automation tools. Some companies specialize in the development and sale of integrated circuit computer-aided design tool suites such as Synopsys, Cadence, Mentor Graphics, Agilent, Altium, Xilinx, and others. The electronic design automation tool itself is a kind of software and it depends on various computer algorithms. Therefore, the development of electronic design automation tools is closer to the scope of software design. Its developers need to focus on algorithm implementation such as logic simplification and place and route, but they also need to understand the hardware knowledge of integrated circuits.

Editor's summary: The above is the introduction to the general process of integrated circuit design. I hope to help those who have this need! For more information, please continue to pay attention to our website, follow-up will show more exciting content.

Youth style decoration design Shanghai tooling decoration design own decoration house flow small courtyard decoration design effect stickers decoration design renderings IC design

4A Zeolite

4A Zeolite,Zeolite 4A,4A Zeolite Powder,4A Zeolite Powder Detergent

Ningbo Jiahe New Materials Technology Co.,ltd , https://www.cnjhchem.com